最重要的基础是《数字电路》这门课。 其次是掌握一种硬件描述语言(VHDL或Verilog)。 另外,FPGA工程师做的事本质上是硬件设计,因此需要具备一定的硬件设计知识。 一个合格的FPGA工程师需要掌握:

1.Verilog语言及其于硬件电路之间的关系。

2.器件结构(最好熟练掌握Spartan3,Vertix4系列的器件结构,及其资源于Verilog行为描述方法的关系。)。

3.开发工具(熟练掌握Synplify,Quartus,ISE,Modelsim)。

4.数字电路(组合电路,触发器,特别是D触发器构成分频器,奇数倍分频占空比为50% ,时序电路,并且能用Verilog语言描叙。)。

5.熟悉FPGA设计流程(仿真,综合,布局布线,时序分析)。

6.熟练掌握资源估算(特别是slice,lut,ram等资源的估算)。

7.同步设计原理。