要想考取fpga工程师,首先得掌握许多与fpga工程师有关的知识,这样才能考取fpga工程师资格证。

1.Verilog语言及其于硬件电路之间的关系。

2.器件结构(最好熟练掌握Spartan3,Vertix4系列的器件结构,及其资源于Verilog行为描述方法的关系。)。

3.开发工具(熟练掌握Synplify,Quartus,ISE,Modelsim)。

4.数字电路(组合电路,触发器,特别是D触发器构成分频器,奇数倍分频占空比为50%,时序电路,并且能用Verilog语言描叙。)。

5.熟悉FPGA设计流程(仿真,综合,布局布线,时序分析)。

6.熟练掌握资源估算(特别是slice,lut,ram等资源的估算)。

7.同步设计原理。

8.熟练掌握基本概念(如建立时间,保持时间,流量(即所做FPGA设计的波特率)计算,延迟时间计算(所做FPGA设计),竞争冒险,消除毛刺的方法等等)。

9、具备具体设计经验(对应届生而言如毕业设计)。